OpenASIP  2.0
DefaultICGenerator Member List

This is the complete list of members for DefaultICGenerator, including all inherited members.

addICToNetlist(const ProGe::NetlistGenerator &generator, ProGe::NetlistBlock &netlistBlock)DefaultICGenerator
altSignalMap_DefaultICGeneratorprivate
busAltSignal(const TTAMachine::Bus &bus, const TTAMachine::Socket &socket)DefaultICGeneratorprivate
BusAltSignalMap typedefDefaultICGeneratorprivate
busCntrlPortMap_CentralizedControlICGeneratorprivate
busCntrlPortOfSocket(const std::string &socketName) constCentralizedControlICGenerator
busConnectionsDefaultICGeneratorprivate
busControlWidth(TTAMachine::Socket::Direction direction, int busConns)DefaultICGeneratorprivatestatic
busMuxControlPort(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
busMuxDataPort(const TTAMachine::Bus &bus, int index)DefaultICGeneratorprivatestatic
busMuxEnablePort(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
busMuxEntityName(TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
busSignal(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
BusSocketMap typedefDefaultICGenerator
busTraceStartingCycle_DefaultICGeneratorprivate
busWidthGeneric(int bus)DefaultICGeneratorprivatestatic
CentralizedControlICGenerator()CentralizedControlICGenerator
convertDirection(TTAMachine::Socket::Direction direction)DefaultICGeneratorprivatestatic
createSignalsForIC(std::ostream &stream)DefaultICGeneratorprivate
dataCntrlPortMap_CentralizedControlICGeneratorprivate
dataCntrlPortOfSocket(const std::string &socketName) constCentralizedControlICGenerator
dataControlWidth(TTAMachine::Socket::Direction direction, int portConns)DefaultICGeneratorprivatestatic
dataWidthGeneric(int port)DefaultICGeneratorprivatestatic
declareSocketEntities(std::ostream &stream) constDefaultICGeneratorprivate
DefaultICGenerator(const TTAMachine::Machine &machine)DefaultICGenerator
entityNameStr_DefaultICGeneratorprivate
exportBustrace_DefaultICGeneratorprivate
generateBusTrace_DefaultICGeneratorprivate
generatedInputSockets_DefaultICGeneratorprivate
generatedOutputSockets_DefaultICGeneratorprivate
generateInputMux(int segmentConns, std::ofstream &stream) constDefaultICGeneratorprivate
generateInputSocketRuleForBus(int bus, int ind, std::ofstream &stream) constDefaultICGeneratorprivate
generateInterconnectionNetwork(const std::string &dstDirectory)DefaultICGenerator
generateOutputSocket(int portConns, int segmentConns, std::ofstream &stream) constDefaultICGeneratorprivate
generateSocket(TTAMachine::Socket::Direction direction, int portConns, int segmentConns, const std::string &dstDirectory) constDefaultICGeneratorprivate
generateSocketsAndMuxes(const std::string &dstDirectory)DefaultICGeneratorprivate
getBusConnections() constDefaultICGeneratorvirtual
glockPort() constCentralizedControlICGenerator
glockPort_CentralizedControlICGeneratorprivate
hasGlockPort() constCentralizedControlICGenerator
icBlock_DefaultICGeneratorprivate
indentation(unsigned int level)DefaultICGeneratorprivatestatic
inputMuxEntityName(int conns) constDefaultICGeneratorprivate
inputSocketBusPort(int bus)DefaultICGeneratorprivatestatic
inputSocketControlValue(const TTAMachine::Socket &socket, const TTAMachine::Segment &segment) constDefaultICGeneratorvirtual
inputSocketDataPort(const std::string &socket)DefaultICGeneratorprivatestatic
inputSocketDataPortWidth(const TTAMachine::Socket &socket)DefaultICGeneratorprivatestatic
inputSockets(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
isBusConnected(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
isBustraceEnabled()DefaultICGenerator
isGcuPort(const TTAMachine::Port *port) constDefaultICGeneratorprivate
language_DefaultICGeneratorprivate
machine_DefaultICGeneratorprivate
mapBusCntrlPortOfSocket(const std::string &socketName, ProGe::NetlistPort &port)CentralizedControlICGeneratorprotected
mapDataCntrlPortOfSocket(const std::string &socketName, ProGe::NetlistPort &port)CentralizedControlICGeneratorprotected
mapSImmCntrlPort(const std::string &busName, ProGe::NetlistPort &port)CentralizedControlICGeneratorprotected
mapSImmDataPort(const std::string &busName, ProGe::NetlistPort &port)CentralizedControlICGeneratorprotected
maxOutputSocketDataPortWidth(const TTAMachine::Socket &socket)DefaultICGeneratorprivatestatic
NetlistPortMap typedefCentralizedControlICGeneratorprivate
outputSocketBusPort(int bus)DefaultICGeneratorprivatestatic
outputSocketCntrlPinForSegment(const TTAMachine::Socket &socket, const TTAMachine::Segment &segment) constDefaultICGeneratorvirtual
outputSocketDataControlValue(const TTAMachine::Socket &socket, const TTAMachine::Port &port) constDefaultICGeneratorvirtual
outputSocketDataPort(const std::string &socket, int port)DefaultICGeneratorprivatestatic
outputSocketDataPort(int port)DefaultICGeneratorprivatestatic
outputSocketDataPortWidth(const TTAMachine::Socket &socket, int port)DefaultICGeneratorprivatestatic
outputSocketEntityName(int busConns, int portConns) constDefaultICGeneratorprivate
outputSockets(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
setBusTraceStartingCycle(unsigned int cycle)DefaultICGenerator
setExportBustrace(bool export_bt)DefaultICGenerator
setFPGAOptimization(bool optimized)DefaultICGenerator
setGenerateBusTrace(bool generate)DefaultICGenerator
setGlockPort(ProGe::NetlistPort &glockPort)CentralizedControlICGeneratorprotected
SetHDL(ProGe::HDL language)DefaultICGenerator
simmCntrlPort(const std::string &busName) constCentralizedControlICGenerator
simmCntrlPortMap_CentralizedControlICGeneratorprivate
simmControlPort(const std::string &busName)DefaultICGeneratorprivatestatic
simmDataPort(const std::string &busName)DefaultICGeneratorprivatestatic
CentralizedControlICGenerator::simmDataPort(const std::string &busName) constCentralizedControlICGenerator
simmDataPortMap_CentralizedControlICGeneratorprivate
simmPortWidth(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
simmSignal(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
simmSocket(const TTAMachine::Bus &bus)DefaultICGeneratorprivatestatic
socketBusControlPort(const std::string &name)DefaultICGeneratorprivatestatic
socketDataControlPort(const std::string &name)DefaultICGeneratorprivatestatic
socketDataPortMap_CentralizedControlICGeneratorprivate
socketEntityName(TTAMachine::Socket &socket) constDefaultICGeneratorprivate
socketFileName(const ProGe::HDL language, TTAMachine::Socket::Direction direction, int portConns, int segmentConns)DefaultICGeneratorprivatestatic
socketIsGenerated(const TTAMachine::Socket &socket)DefaultICGeneratorprivate
socketIsGenerated(int segmentConns, int portConns, TTAMachine::Socket::Direction direction)DefaultICGeneratorprivate
SocketSignalMap typedefDefaultICGeneratorprivate
verifyCompatibility() constDefaultICGenerator
writeBusDumpCode(std::ostream &stream) constDefaultICGeneratorprivate
writeBustraceExportCode(std::ostream &stream) constDefaultICGeneratorprivate
writeInputSocketComponentDeclaration(const ProGe::HDL language, int segmentConns, int ind, std::ostream &stream)DefaultICGeneratorprivatestatic
writeInterconnectionNetwork(std::ostream &stream)DefaultICGeneratorprivate
writeOutputSocketComponentDeclaration(const ProGe::HDL language, int portConns, int segmentConns, int ind, std::ostream &stream)DefaultICGeneratorprivatestatic
~CentralizedControlICGenerator()CentralizedControlICGeneratorvirtual
~DefaultICGenerator()DefaultICGeneratorvirtual