48 const std::string& entityName) :
74 stream <<
"library ieee;" << endl
75 <<
"use ieee.std_logic_1164.all;" << endl
76 <<
"use ieee.std_logic_arith.all;" << endl << endl;
78 stream <<
"package " <<
packageName() <<
" is" << endl << endl
79 <<
" type std_logic_dmem_matrix is array (natural range <>) of "
80 <<
"std_logic_vector(" <<
rowLength() <<
"-1 downto 0);"
83 stream <<
" constant dmem_array : std_logic_dmem_matrix := (" << endl;
90 stream <<
");" << endl << endl
97 std::string
package = "dmem_image";
98 if (!entityName_.empty()) {
99 package = entityName_ + "_" + package;
virtual void writeImage(std::ostream &stream) const
std::string packageName() const
VhdlImageWriter(const BitVector &bits, int rowLength, const std::string &entityName)
virtual void writeImage(std::ostream &stream) const
void writeHeader(std::ostream &stream) const
void writeEnding(std::ostream &stream) const
virtual ~VhdlImageWriter()