72 stream <<
"library ieee;" << endl
73 <<
"use ieee.std_logic_1164.all;" << endl
74 <<
"use ieee.std_logic_arith.all;" << endl
79 stream <<
"imem_mau.all;" << endl << endl;
81 stream <<
"package " <<
packageName() <<
" is" << endl << endl
82 <<
" type std_logic_imem_matrix is array (natural range <>) of "
83 <<
"std_logic_vector(IMEMMAUWIDTH-1 downto 0);" << endl << endl;
85 stream <<
" constant imem_array : std_logic_imem_matrix := (" << endl;
92 stream <<
");" << endl << endl
99 std::string
package = "imem_image";
100 if (!entityName_.empty()) {
101 package = entityName_ + "_" + package;
virtual void writeImage(std::ostream &stream) const
virtual void writeImage(std::ostream &stream) const
void writeHeader(std::ostream &stream) const
virtual ~VhdlProgramImageWriter()
void writeEnding(std::ostream &stream) const
VhdlProgramImageWriter(const InstructionBitVector &bits, const std::string &entityName)
std::string packageName() const